当前位置:数码通 > 数码

奇偶校验码生成的逻辑表达式?

来源于 数码通 2023-10-07 05:25

奇偶校验电路是验证代码传输正确性的电路。   奇数校验电路,当输入有奇数个1时,输出为1;偶校验电路,当输入有偶数个1时,输出为0。奇偶校验只能检查一位错误,没有纠错能力。   奇校验是在源端原始数据码中加上一个校验位的逻辑值,形成奇数个1,然后在使用该码时与校验位一起进行校验。宿端判断1的位数是否为奇数,进行下一步操作。   奇偶校验器大多设计为九位二进制数,以适应一个字节和一个ASCII码的应用要求。   奇偶校验是一种冗余编码检查。它以内存中的存储单元为单位进行,由硬件实现,因此具有时效性。然而,这种检查方法只能发现奇数个错误。 ,如果数据中出现偶数个位错误,则无法发现,因为它不影响代码的奇偶校验和偶数属性。   对于位数较少、电路较简单的应用,可以采用奇偶校验方法来提高系统的可靠性。

登录后参与评论